reduced power flip flop design for clock distribution networks

Reshma Mohan A. S.,N. Lakshmi Prabha

Published in International Journal of Advanced Research in Computer Networking,Wireless and Mobile Communications

ISSN: 2320-7248          Impact Factor:1.8         Volume:2         Issue:2         Year: 08 March,2014         Pages:43-46

International Journal of Advanced Research in Computer Networking,Wireless and Mobile Communications

Abstract

In this paper a technique is proposed to reduce the power consumption in clock distribution networks. Power has become a major issue in most VLSI designs. Power distribution in VLSI differs from product to product. However it is interesting to note that clock system and logic part itself consume most of total chip power. In practise a large portion of clock distribution network (CDN)s and flip flops. In this paper a reduced power flip flop design is used in CDNs. The simulations are done using Microwind and DSCH analysis software tools.

Kewords

Flip-flop, full swing low swing, power, resonant clock

Reference

[1] S. D. Naffziger and G. Hammond, The implementation of thenextgeneration 64 b Itanium™ microprocessor, in Dig. Tech. Papers,IEEE Int. Solid-State Circuits Conf., 2002, pp. 344–472 [2] C. J. Anderson, J. Petrovick, J. M. Keaty, J. Warnock, G. Nussbaum, J. M. Tendier, C. Carter, S. Chu, J. Clabes, J. Dilullo, P. Dudley, P. Harvey, B. Krauter, J. LeBlanc, L. Pong-Fei, B. McCredie, G. Plum, P. J. Restle, S. Runyon, M. Scheuermann, S. Schmidt, J. Wagoner, R. Weiss, S.Weitzel, and B. Zoric,Physical design of a fourth-generation POWER GHz microprocessor, in Dig. Tech. Papers, IEEE Int. Solid- State Circuits Conf., 2001, pp. 232–233 [3] V. F. Pavlidis, I. Savidis, and E. G. Friedman, ―Clock distribution networks in 3-D integrated systems,‖ IEEE Trans. Very Large Scale Integr. (VLSI) Syst., 10.1109/TVLSI.2010.2073724 . [4] J.Drake, K. J. Nowka, T. Y. Nguyen, J. L.Burns, and R. B. Brown, Resonant clocking using distributed parasitic capacitance, IEEE J. Solid-State Circuits, vol. 39, no. 9, pp. 1520–1528, Sep. 2004 [5] C. Kim and S. M. Kang, ―A low-swing clock double-edge triggered flip-flop,‖ in Proc. Symp. VLSI Circuits, 2001, pp. 183–186. [6] C. Kim and S. M. Kang, A low-swing clock double-edge triggered flip-flop, in Proc. Symp. VLSI Circuits, 2001, pp. 183–186. [7] J. Pangjun and S. S. Sapatnekar, ―Low-power clock distribution using multiple voltages and reduced swings,‖ IEEE Trans. Very Large Scale Integr. (VLSI) Syst., vol. 10, no. 3, pp. 309– 318, Jun. 2002. [8] H. Mahmoodi, V. Tirumalashetty, M. Cooke, and K. Roy, ―Ultra lowpower clocking scheme using energy recovery and clock gating,‖ IEEE Trans. Very Large Scale Integr. (VLSI) Syst., vol. 17, no. 1, pp. 33–44, Jan. 2009 [9] S. E. Esmaeili, A. J. Al-Khalili, and G. E. R. Cowan, ―Estimating required driver strength in the resonant clock generator,‖ in Proc. IEEE Asia Pacific Conf. Circuits Syst., 2010, pp. 927–930 [10] S. E. Esmaeili, A. J. Al-Khalili, and G. E. R. Cowan, ―Dual-edge triggered sense amplifier flip-flop for resonant clock distribution networks,‖ IET Comput. Digit. Tech., vol. 4, no. 6, pp. 499–514, Nov 2010. [11] Z. Xu and K. L. Shepard, ―Design and analysis of activelydeskewed resonant clock network,‖ IEEE J. Solid-State Circuits, vol. 44, no. 2, pp. 558–568, Feb. 2009.